Horizon scanning and breakthroughs in Quantum technologies

Horizon scanning and breakthroughs in Quantum technologies

by István Kopácsi

The report shortly titled "Breakthroughs in Quantum Technologies"[1] (Report) provides an in-depth exploration of emerging quantum technologies, with a focus on key trends and innovations that are likely to shape the field in the coming years. In this review key findings of the document will be presented, highlighting major themes, signals, and conclusion of the original report.

The project outlined in the Report is part of the European Commission’s FUTURINNOV initiative, designed to support the European Innovation Council (EIC) in building strategic intelligence around emerging technologies, including quantum technologies. The workshop, conducted as part of this initiative, gathered experts from various fields to assess and prioritize key trends and signals related to quantum technologies. This effort falls under the broader context of the European Commission's (EC) Horizon Scanning (HS) methodology, which aims to detect emerging technological trends that are not yet widely recognized but have the potential to disrupt markets and industries. [2]

The Report emphasizes the importance of strategic foresight and anticipatory governance in the rapidly evolving quantum technology landscape. Quantum computing, quantum sensing, and related technologies are expected to revolutionize various industries, ranging from cybersecurity and defense to healthcare and artificial intelligence (AI). The workshop sought to assess these technologies based on their technology readiness levels (TRLs), potential impact, and feasibility.

The core of the Report’s findings is based on the workshop outcomes, where experts evaluated several signals across various categories of quantum technology. These signals were filtered, clustered, and prioritized for further exploration by the EIC. Below are the most significant areas identified:[3]

1. Quantum sensing emerged as a crucial area of interest due to its potential to revolutionize measurement and detection technologies. Leveraging quantum phenomena such as coherence and entanglement, quantum sensors can achieve unprecedented levels of precision, stability, and sensitivity. Notable technologies within this field include Superconducting Nanowire Single Photon Detectors, Squeezed Light-Enhanced Optical Interferometers, and Quantum-Enhanced Optical Sensing and Imaging. Quantum Radar/Lidar and Continuous Variable Quantum Sensors were also highlighted for their transformative applications in industries like cybersecurity, medical imaging, and environmental monitoring.

2. Quantum algorithms for lattice-based computational fluid dynamics (CFD) models represent another area with significant potential. CFD is critical in industries such as aerospace, automotive, and biomedical engineering. Quantum algorithms offer the potential for substantial improvements in computational efficiency. The Lattice Boltzmann Method and Lattice Gas Automata, in particular, were identified as quantum algorithms that could yield quantum advantage, enhancing the ability to model complex fluid dynamics systems.

3. Advances in materials for quantum computing were also highlighted. Quantum materials enable the control of energy at unprecedented levels, making them key to the future of computing technologies. The experts emphasized the shift from simply increasing qubit count to improving the quality of quantum systems, particularly through validating quantum materials in practical applications. Innovations such as quantum batteries and super-radiance phenomena, which accelerate energy transfer, were noted as important developments.

4. AI plays a pivotal role in enhancing quantum technologies. The integration of AI into quantum computing aids in optimization, algorithm design, and resource management. Specifically, AI’s ability to improve quantum machine learning and circuit design was highlighted. Moreover, AI tools are increasingly being used for error correction in quantum systems, a key challenge in scaling quantum computing to practical applications.

5. Error correction in quantum computing focuses on mitigating the negative impact of noise and system errors using techniques like error correction codes, detection methods, and suppression algorithms. Recent innovations have integrated AI to optimize these processes, dynamically adjusting gate operations and analyzing error patterns for improved mitigation. Quantum middleware further enhances this by providing the framework for AI-driven error correction, creating a robust and scalable approach to improving the reliability of quantum systems.

6. Solid-state scalability in quantum computing seeks to expand the number of qubits in a system without compromising performance. This is essential for developing more powerful quantum computers capable of handling complex tasks. Achieving scalability involves refining cryogenic routing systems and using CMOS-compatible methods for superconducting qubits. Recent advancements have focused on integrating more qubits and control circuits on a single chip, which moves quantum computing closer to practical applications by improving computational efficiency and expanding system capabilities

7. The quantum for AI relationship was also noted, with quantum technologies holding the potential to enhance AI systems. Quantum machine learning, neural networks, and automatic classification of quantum states are areas where quantum computing could revolutionize AI. In particular, the use of hybrid models combining classical and quantum algorithms could significantly improve AI's capabilities in tasks such as fault detection and sustainability.

8. Quantum as a Service (QaaS) and the concept of metacloud infrastructures were also explored. By democratizing access to quantum computing resources through cloud platforms, individuals and organizations can tap into quantum technologies without significant upfront investment in hardware. This paradigm shift is expected to accelerate innovation in industries ranging from scientific research to industrial applications.

9. In quantum computing, the development of ultra-low power CMOS devices for qubit control and readout at cryogenic temperatures is crucial. These cryogenic CMOS (cCMOS) devices require innovative designs to address power reduction challenges, demanding extensive research into their physics, fabrication, and materials. Key areas of focus include exploring new cryogenic technologies like specialized memories and neuromorphic devices for quantum machine learning. Low-temperature CMOS for parametric amplifiers has significantly improved device functionality, and advancements in single and microwave photon detectors have enhanced precision in measuring and controlling quantum states.

10. The wild card selection process identified several highly novel and disruptive technologies that could play an outsized role in shaping the future of quantum technologies. These include molecular spin qubits, quantum algorithms for CFD, and AI-driven quantum sensing. These wild cards were considered unique in their potential to drive future breakthroughs.

The conclusions drawn from the workshop reflect the strategic importance of these emerging technologies for Europe’s innovation ecosystem. The field of quantum technologies is marked by both extraordinary potential and significant challenges, including technical feasibility, scalability, and market maturity. The Report emphasized in its conclusions[4] that quantum algorithms, especially for CFD models, represent a major innovation with the potential to bring quantum advantages in computational efficiency across multiple industries. Equally important was the interplay between AI and quantum technologies. AI not only aids quantum development, but quantum technologies, in turn, have the potential to enhance AI, making this dual relationship a critical focus for future exploration.

The report repeatedly emphasized the need to validate quantum materials and demonstrate practical applications, marking a shift in the quantum market from increasing qubit count to prioritizing quality, signaling its maturity. Transitioning from scientific inquiry to industrial applications is now crucial, as industries begin to integrate quantum technologies into real-world use cases. Scalability, particularly in quantum computing hardware, presents ongoing challenges, with advancements in cCMOS technology and solid-state scalability seen as key to driving the next phase of development.

 

[1] The original title is „(Dis)Entangling the Future - Horizon scanning for emerging technologies and breakthrough innovations in the field of quantum technologies”, the docuemtn is avalaible at https://publications.jrc.ec.europa.eu/repository/handle/JRC139022

[2] Report, 5.

[3] Ibid., 11-13

[4] Ibid., 18-19.